Zum Inhalt springen

FIAE2018

Mitglieder
  • Gesamte Inhalte

    3
  • Benutzer seit

  • Letzter Besuch

Reputationsaktivitäten

  1. Like
    FIAE2018 reagierte auf Kwaiken in Fernuni Hagen Vollzeit Studium   
    4.5 Jahre zum BSc. neben einem Vollzeitjob. Ohne Erststudium. Also machbar. Freizeit? Nicht viel. Aber wer nur den Abschluss vor Augen hat, ohne sich wirklich für wenigstens 50% des Stoffs zu interessieren, der hat größere Probleme. Es ist schwer über 4.5 Jahre die Motivation aufrecht zu erhalten, wenn man nur den Abschluss als Karotte vor der Nase hat. 
    Vollzeit kam für mich nicht in Frage, aber man kann auch Bafög für die FU als Vollzeit-Student bekommen.
    Kenne einige, die Vollzeit studieren. Der primäre Grund für sowas ist die Beibehaltung des eigenen Lerntempos. Ein Kommilitone hat z. B. in einem Semester 55 ECTS an Informatik-Kursen abgelegt, während er für ein WiWi-Nebenfach im Wert von 10 ECTS ebenfalls ein ganzes Semester verbraten hat, weil es ihm nicht so lag. Das geht an einer Präsenzuni nicht so einfach - dort hast Du feste Zeiten für Vorlesungen und kannst in einem Fach, dass Dir schwer fällt in der Vorlesung nicht die Zeit langsamer laufen lassen, während Du in einfachen Fächern am liebsten "fast forward" drücken würdest. Bei einem Skript geht das ganz gut.
    Disclaimer: Muss aber dazu sagen, dass ich den umgekehrten Weg häufiger gesehen habe. Wechsel von der Vollzeit-FU an eine Präsenz oder Komplettabbruch in Teilzeit. Meist aus Vereinsamung. Den Leuten fehlten die Mitstreiter, bzw. der häufige Kontakt zu den Kommilitonen. Es ist manchmal frustrierend, wenn man zwei Tage an einer Seite im Skript hängt und nicht versteht, was da erwartet wird und im Kursforum tote Hose ist. An der Präsenzuni kannst Du spätestens am Folgetag jemanden aus dem Kurs fragen, an der FU nicht.
  2. Danke
    FIAE2018 reagierte auf SaJu in Fernuni-Hagen - Kurseinheiten - Fehlt da was?!   
    Um einen einfacheren Einstieg zu haben, habe ich mir im 1. Semester auch nur 1 Fach besorgt und durchgearbeitet.  Wenn das klappt, kann man sich an mehr trauen.
    Beim Durcharbeiten empfehle ich es ein Mal schnell durchzulesen um einen Überblick bzw. eine Einschätzung zu bekommen, wie lange ich wofür brauchen würde. Ein Heft ist in der Informatik meistens für 2 Wochen Zeit eingeplant. Dann habe ich mich an die Details und das Eingemachte gemacht. Wenn ich etwas nicht verstanden habe, haben Google. YouTube und Co. meistens weiter geholfen. Wenn es Fragen in der Tiefe gab, habe ich diese bei den Mentoriaten im Regionalzentrum gestellt.
    Eine Übersicht wirst Du Dir auf jeden Fall erstellen müssen, um die Prüfungen zu bestehen. ^^
  3. Like
    FIAE2018 reagierte auf Guybrush Threepwood in Fernuni-Hagen - Kurseinheiten - Fehlt da was?!   
    Das Problem ist wenn das nicht vorher in der Schule hatte und bei der Hälfte der Symbole gar keine Ahnung hat wie die heißen oder wie man die in die google Suche eingeben könnte um zu gucken was die bedeuten  
  4. Like
    FIAE2018 reagierte auf Kwaiken in Studium Wirtschaftsinformatik realistisch?   
    Jeder hat da seinen eig. Rythmus. Arbeitest Du wirklich 12h täglich? Jeden Tag? Das würde mich eig. schwer wundern. Selbst wenn Du 1h Anfahrt hättest.

    Für Mathematik im ersten Semester habe ich z.B. meinen Wecker täglich auf 5 Uhr gestellt. Bin dann um 7 Uhr aus dem Haus raus und zur Arbeit gefahren. Auch die Wochenenden habe ich praktisch durchgelernt und habe mir vor der Klausur zwei Wochen Urlaub genommen. Das musste sein, da ich neben dem Kurs auch noch das komplette Abi-Mathe parallel nachholen durfte. Mein Studienkollege hatte einen ähnlichen Aufwand und kam mit einer 5.0 aus der Klausur zurück.

    Als ich dann OOP + Imperative hatte, beschränkte sich mein Lernpensum auf das Wochenende kurz vor der Abgabe der Einsendearbeiten. That's it. War ein langweiliges Semester und ich hätte locker noch zwei Kurse oben drauf packen können. Ein Komillitone hatte mangels Vorwissen deutlich mehr zu kämpfen und versemmelte OOP, da ihm das Programmieren auf Papier nicht so lag.

    Bei der theoretischen Informatik z.B., welche mit jeweils 5 ECTS für beide Teile angegeben ist, entspricht der Papier-Workload 150h pro Semester. Diesen hatte ich nach 3 Wochen bereits geknackt und bin derzeit bei vielleicht 20h/Woche nur für dieses eine Fach. Selbst die Akkreditierungskommision von 2013 hat empfohlen den Workload anzupassen. Während die meisten Studenten hier kämpfen, gibt es jedes Jahr in der zugehörigen Newsgroup min. einen Überflieger, der den Kurs wahrscheinlich als Klo-Lektüre in einem viertel der Zeit liest, anschließend in der Prüfung eine 1.0 holt und mit dem Prof. streitet, dass die Beweise nicht genau genug geführt wurden (das letztere ist kein Scherz, derzeit gibt es tatsächlich jemanden im Kurs, der die Musterlösungen der Einsendeaufgaben in ihre Bestandteile zerpflückt).

    Wie Du siehst, ist der Aufwand pro Person und Fach höchst unterschiedlich. Wie es bei Dir abläuft, musst Du selbst herausfinden.
  5. Like
    FIAE2018 reagierte auf Kwaiken in Studium Wirtschaftsinformatik realistisch?   
    Nur die harten kommen in den Garten. Manche Kurse liegen einem, manche nicht. Entsprechend die Belastung. OOP habe ich z.B. auf einer Pobacke abgesessen, bei den Mathekursen war ich regelmäßig kurz davor mich am Mauskabel zu erhängen.



    https://slid.buerokommunikation.fernuni-hagen.de/qisserver/rds?state=wimma&stg=f&imma=einl

    Bis 15.08 ist die verspätete Anmeldefrist. Bestrafungsgebühr für Nachzügler sind sage und schreibe... 25 EUR
  6. Danke
    FIAE2018 reagierte auf Kwaiken in Weiterbildung nach FIAE Abschluss   
    Nana, nicht Credit-Points. Klausurpunkte. Bestehensgrenze lag bei 55/100 soweit ich das noch im Kopf habe und man konnte sich 10 Punkte holen. D.h. man musste alle Einsendeaufgaben zu 100% lösen und durfte in der Klausur 45% erreichen um zu bestehen. Die 10 Punkte hat durch die Korrekturmodalitäten niemand geholt.

    Die Änderung ist wohl mittlerweile zurückgenommen und auch die EA sind kleine Klausurzulassung mehr (bitte um Korrektur wenn das nicht stimmt). Der Lehrstuhl hat das eingeführt um die Klausurstatistik zu pushen. Durch den LN haben Leute daran teilgenommen, die den Kurs nicht einmal bearbeitet haben. Entsprechend war der Schnitt.

    Und 1661 (Datenstrukturen) ist nicht ohne...

    Ähnliches gilt für Mensch Computer Interaktion. Die Einsendeaufgaben (MC-Tests online) sind Klausurzulassung. Und was für eine: in 6 von 7 Einsendeaufgaben muss man 75% holen. Bei einer reichen 50%. Mit festen Terminen, man darf den Test nur 1x starten und hat auch nur eine bestimmte Zeit für die 20 Fragen. Verabschiedet sich während des Tests die Plattform oder die eigene Verbindung, darf man beim Lehrstuhl betteln gehen.
    Am Ende gibt es eine MC-Klausur mit 65% Bestehensgrenze. Dazu gibt es Fragen mit Antworten, wo alle richtig sind, aber ein paar sind halt "richtiger". Miserabler Schnitt.

Fachinformatiker.de, 2024 by SE Internet Services

fidelogo_small.png

Schicke uns eine Nachricht!

Fachinformatiker.de ist die größte IT-Community
rund um Ausbildung, Job, Weiterbildung für IT-Fachkräfte.

Fachinformatiker.de App

Download on the App Store
Get it on Google Play

Kontakt

Hier werben?
Oder sende eine E-Mail an

Social media u. feeds

Jobboard für Fachinformatiker und IT-Fachkräfte

×
×
  • Neu erstellen...